Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Warning XST: 2677 - Node of sequential type is unconnected in block

Status
Not open for further replies.

State

Newbie level 4
Joined
Apr 11, 2008
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,324
When I am synthesizing my code with Xilinx ISE 9.2 I am getting the following warning about 2000 time.

Xst:2677 - Node <my_signal> of sequential type is unconnected in block <block>.

I am a little worried since I really don't know what exactly what could be the source of the problem. So if anybody could give any tips it would be greatly appreciated.

State
 

warning:xst:2677

That warning is caused by lot of things.....

One main reason is if your outputs are not connected..ie if you are not reading the module outputs the ise optimisation step removes all signal inside your block and fire a 2677 warning...

check the module outputs

S
 
of sequential type is unconnected in block

Thank you got it perfectly right. I had actually forgot to link my register to the output..

Sate
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top