Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

the FPGA's GCLK signal don't use as normal IO?

Status
Not open for further replies.

ZFDok

Junior Member level 2
Joined
Aug 30, 2005
Messages
22
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,512
gclk spartan 3

ERROR:pack:1107 - Unable to combine the following symbols into a single IOB
component:
PAD symbol "CpuData" (Pad Signal = CpuData)
BUF symbol "CpuData_ibuf" (Output Signal = rsda)
Each of the following constraints specifies an illegal physical site for a
component of type IOB:
Symbol "CpuData" (LOC=P88)
Please correct the constraints accordingly.

i use the xc2s15, the pin 88 is GCLK in put ,but 2i want use it as a normal I signal,
but the design is not compile passed ,who can help me check the error?
 

xst user io on gclk pin

I don't have Spartan-2 stuff installed to try your project, but if you want to use CpuData as an ordinary input, then your UCF file probably shouldn't say "BUFG = CLK".
 

xilinx gclk cpld io

in xc2s15 pin P88 can be used as GCk or any other signal input.
it cannot be used as an output ot I/O pin.
check the data sheet
**broken link removed**

from ur ucf file i see the CpuData signal is contraint as global clock which is wrong
change following line in ucf
NET "CpuData" LOC = "P88" | BUFG = CLK ;
to
NET "CpuData" LOC = "P88" ;
 

gclk or gck type pin

yes, i used "NET CpuData LOC = P88;" in my *.ucf file , it does not compile passed to , it appear the error:
ERROR:pack:1107 - Unable to combine the following symbols into a single IOB
component:
PAD symbol "CpuData" (Pad Signal = CpuData)
BUF symbol "CpuData_ibuf" (Output Signal = rsda)
Each of the following constraints specifies an illegal physical site for a
component of type IOB:
Symbol "CpuData" (LOC=P88)
Please correct the constraints accordingly.
echo47: are you use the ISE compile passed?
 

io is gclk or gck

I believe you can only connect a BUFG to the GCK inputs on the Spartan 2. The IBUF, whether it's created by you or XST is mapped to an IOB which doesn't exist on the clock pins of the Spartan 2.
 

error:pack:1107,spartan 2

ZFDok, I can't compile your project because I didn't install Spartan-2 with my ISE. The installer won't run anymore because I've updated ISE with a service pack. Sorry!

tkbits, that's a good point. Page 3 of the Spartan-2 product spec DS001-1.pdf says this: The four global clock pins are usable as additional user I/Os when not used as a global clock pin. But I guess that's true only if you apply this workaround:
**broken link removed**

ZFDok, try it!

The Xilinx documentation has quite a few unclear/confusing issues like that.
 

fpga gclk

The synthesizer detected a signal used as a clock source, so it synthesize it as a bufclk.
once you go to the implementation, ISE finds that a bufclk cannot be put on that pin.

just instruct the synthesizer (in your source code) not to use a bufclk for that signal.
This can be done using the ATTRIBUTE BUFFER_TYPE , specifying IBUFG instead of BUFCLK.
bye
Alba800
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top