Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Representation in big endian form using vhdl

Status
Not open for further replies.
The probable solution:
1. 1023 downto 0 instead of 0 to 1023
 

man it is conventional if i write message2(511 downto 0 ) or messega2(0 to 511)...
Anyhow i write it i am gonna change the code so that in the simulation i see that message2 is "message1&1&0...0&128-bit binary representation of the length of the message1 in big endian form".
 

ok guys ! i solved my problem! Thanks again for your help! Finally the solution to my problem had to do with something else and not with the padding of the message! Anyway thanks for your help! Now my problem is how to give during the simulation as an input a variable length message! I am going to open a new thread for this different problem.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top