Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[Questasim] why UVM simulation is too slow?

Status
Not open for further replies.

yuhiub90

Member level 2
Joined
Aug 2, 2012
Messages
52
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Location
Hanoi, Vietnam, Vietnam
Activity points
1,618
Guys,

I'm running normal (without UVM, SVA, functinal coverage, code coverage) simulation and UVM simulation on the same testcase. But UVM simulation takes so much of time comparing with normal simulation. What's the causes of this strange behavior? Please help me to figure this out.

Thanks
 

I think you answered your own question. You have no idea what your UVM testbench is doing. How is anyone supposed to help you?
 

Dave, I'm not specialize in verification. I just want a brief explanation for this. I guest the SVA check, code coverage and functional coverage calculation during simulation slow it down. Please confirm it for me.

Thanks
 

Code coverage performance is easy to explain. With no modification to your source code, you add a switch to your simulation and it adds a lot extra calculations that will slow your simulation down. You do not want to run with code coverage turned on for every simulation. Only after your test is passing, and then only periodically in regression tests.

You didn't explain the difference between a normal simulation and a UVM simulation. Is it the same stimulus and the UVM is just added to monitor and check the results? When you add code to a simulation, that will slow down the performance of the simulation depending on how much code was added, and how efficiently that code was written. Sometime a very few poorly written constructs like an assertion can slow down your simulation a lot. Questa has profiling tools to help you find those constructs.

If the UVM simulation is providing different stimulus than a normal simulation, then you can't make a fair comparison without knowing what parts of the design are being exercised, and how long the testcase is running for.
 
Hello Yuhiub90,

I wonder with your finding about UVM. Anyway compilation may take bit more time than system verilog tb(again you won't find the time difference) But simulation depends on your tb and what stimulus you are exercising. If you think stimulus is same for both sv and UVM tb, then you may not have dropped any objection somewhere. Please check this
Again if at all you haven't dropped it, simulation wont end unless you have provided max time out.
Your query is incomplete. So cant bring out a proper solution.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top