Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem in reading a VCD file in WaveViewer

Status
Not open for further replies.

iasivakumar

Newbie level 1
Joined
Sep 30, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
chennai
Activity points
1,293
Getting system crash and tools got closed while import my VCD file (reference, t1.vcd below ) in WaveViewer.

t1.vcd

$date
Tue Sep 29 18:50:12 GMT+05:30 2009
$end
$Version
VisualSim Verilog VCD Output version 1.0
$end
$timescale
1 ns
$end
$scope module test $end
$var reg 32 A total_bytes [31:0] $end
$var reg 1 B clk $end
$var wire 32 C pri [31:0] $end
$var real 64 D dly $end
$upscope $end
$enddefinitions $end

#0
$dumpvars
b0 A
0 B
b0 C
0.0 D
$end

#1
b11010 A
b11110 C
4.598086954822648 D

#1000
b10000 A
1 B
b10100 C
40.58980510934924 D

#10001
b11010 A
39.63337824150836 D

#11000
b1 A
0 B
b110 C
5.55491726765981 D

#20001
b10 A
1 B
b10111 C
52.08076447365137 D

#21000
b1011 A
b111100 C
19.263580977342833 D

#30001
b11100 A
0 B
b1 C
52.85696957179771 D

#31000
b100 A
b0 C
54.66404213752609 D

#40001
b1000 A
1 B
b10011 C
18.197815123491452 D

#41000
b11 A
0 B
b100000 C
4.3038240779088 D

#50001
b10100 A
b11011 C
45.70784213111862 D

#51000
b11 A
1 B
b10101 C
5.7641144240638695 D

#60001
b11000 A
0 B
b10000 C
42.991962481509034 D

#61000
b1 A
b111011 C
9.301189747888863 D

#70001
b10011 A
1 B
b0 C
52.11914297224194 D

#71000
b100 A
0 B
b100111 C
50.94485425448006 D

#80001
b11100 A
1 B
b110 C
25.168119245973934 D

#81000
b1011 A
0 B
b10001 C
22.30393098395301 D

#90001
b11011 A
b1 C
58.10514389824674 D

#91000
b11101 A
b110110 C
48.32876699753213 D

#100000
$dumpoff
x A
x B
x C
x D
$end


Please provide me the feedback

Thanks in advance.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top