Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need help with bus interfacing! =s

Status
Not open for further replies.

diesdi

Newbie level 1
Joined
Apr 21, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,289
Hi, I've just started using EPLD and programming in vhdl and I'm having some problems with the bus interfaces.:cry:

I have designed some 3 bits registers that have their outputs conected to the same bus and depending on an enable signal, I must put the outputs in high-impedance for all but one. But the compiler keeps giving me some errors about being unable of doing so. I've read something about using multiplexers put I do not have enough pins on the EPLD to do so...

Can someone tell me how to put the register outputs in high impedance??

Thanks in advance!!:D
 

well its usually something like this:

output <= values when (enable = '1') else (others => 'Z')
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top