Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

need help in pulse generator vhdl code

Status
Not open for further replies.
Joined
Sep 30, 2021
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
11
1661503633718.png

i need help regarding this project which i'm not able to do.
 

Hi,

the key is to use a (binary) counter.
SET/RESET the pulse output at counter = 0
clear the pulse output at counter = pulse width
clear the counter at counter = pulse width.

This should help you to do some drawings (state machine, timing diagram, truth table...whatever you need), then try coding it on your own. Show your ideas, your code ... so we can comment on it.

Don´t expect that someone does all your job.

Klaus
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top