Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim and ISE simulator- use of ISE sim in design process

Status
Not open for further replies.

lmtg

Member level 3
Joined
Jan 25, 2009
Messages
65
Helped
4
Reputation
8
Reaction score
2
Trophy points
1,288
Activity points
1,686
ise sim

Why is it that in modelsim, there's a clk delay before output appears yet in ISE simulator the delay is only a small percentage of the clock?

Has anyone uses ISE simulator ? Is it any good? I have been using modelsim all the time but I can't get it to work properly with xilinx.. Would it be a problem in the design process to just use ISE sim??

Thanx in advance
 

Modelsim and ISE sim

i only use ise sim because it's free. i dont think it will cause any problem
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top