Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

No data message in ModelSim

Status
Not open for further replies.

grandslam47

Newbie
Joined
Oct 14, 2022
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
13
Code:
module barrel(W,Y,S);
    input [3:0] W;
    input [1:0] S;
    output [3:0]Y;
    wire [3:0]T;

    assign {T,Y}={W,W}>>S;
    
endmodule

Code:
module sim();
    reg  [3:0] W;
    reg [1:0] S;
    wire [3:0] Y ;

    barrel sim1(W, S, Y);
    initial begin
        W = 4'b0100;
        S = 2'b01;
    #10 S = 2'b10;
    #10 S = 2'b11;
    #10 S = 2'b01;

    #10 W = 4'b0110;              
        S = 2'b01;
    #10 W = 4'b0111;
        S = 2'b01;
    #10 W = 4'b1101;
        S = 2'b01;        
    end

endmodule
These are the two files I am using to try and generate the wave from; however, the "no data" message keeps popping up when I try to simulate the sim.v file. I have tried run-all and it returns some data, however, when I move it into the wave window it returns the message "No data". Any help would be greatly appreciated!
 

The signals have to be in the waveform window before you run the simulation. Or you can use the line "log -r *" before you run the simulation.
e.g.

vsim my_sim
log -r *
run -all
add wave -r *

Only signals modelsim is logging will show up in the wave window after a simulation runs. You either use "add wave <my_signal>" for each signal or use the "log -r *" to log everything in the design before using the run command. Note the more signals in the wave window the slower your simulation will run.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top