Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for a VHDL code for pulse generator

Status
Not open for further replies.

kk_victory

Newbie level 3
Joined
Jul 28, 2008
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,327
Hi everyone
I m new comer in Vlsi Field
I want to generate pulse of PRI=1KHz
and Pulse width + 1µs.
So I need Vhdl code for Pulse generator
Pls let me favour.
Bcoz I have to complete this project in Short
time.
thanks Kamlesh
 

Re: vhdl code

process
begin
clk <= '1' ;
wait for 500000 ns ;
clk <= '0' ;
wait for 500000 ns ;
end process ;

this code will generate thew clock of 1kHz

any dbout

give your mail id
 

Re: vhdl code

what if i want to generate a trigger pulse by at least 10uS ?
how can we do that or how can we modify the same code

kindly give me quick answer , thanks alot :)
 

1 - engage brain
2 - apply result to code
3 - done
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top