Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ISE12.1 implementation problem

Status
Not open for further replies.

hodahussein

Full Member level 2
Joined
Apr 17, 2007
Messages
144
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
2,276
dear all

i have ise 12.1 but need to licence to do produce bit file streem

could you help me in this problem.

best regards
 

Signup at xilinx.com to download free webpack license.

For full design suite you of course have to pay to obtain the license.
 

Hi,

Which model of Xilinx FPGA are you implementing a design. Current ISE Webpack only support a limited number of model versions. You may have to download a previous version from their archives.
 

hi

i have a virtex 2pro also i ihave virtex5
wait your reply

regards
 

Hi,

Here's the product support matrix for Webpack ISE 12 and Webpacks ISE 10.1 and earlier. I'm have trouble finding Webpack ISE 11.x, I'll keep looking. I can tell you from experience that for Virtex 2 Pro you'll have to use v10.1, v9 or v8. The product support is also limited by the size of the FPGA, so look carefully for your exact FPGA model.

Hope this info helps!
 

Attachments

  • Software_MatrixV12.pdf
    422.3 KB · Views: 49
  • ISE Classics Product Support.pdf
    42 KB · Views: 59
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top