Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use VHDL mode in OrCAD 16.0 ???

Status
Not open for further replies.
Why are you asking all those questions if you (think you) know that Orcad suits your needs?

Like TrickyDicky said ModelSim (Aldec Active-HDL) is made for simulations of HDL languages (like VHDL and Verilog). Orcad might have a basic simulator, like is has a Spice simulator for circuits, but it's intended for PCB and schematic design. All the other features are just to attract people to buy this tool. The same is true for Altium Designer: it's intended for PCB design, but it has a VHDL parser, ...

Anyway, if you think Orcad fulfills your need, great!! Go ahead and use it to learn VHDL. You seam to be the expert.
 


OrCAD does not include HDL simulation software. At one point of time "NCSiM" simulator was available on windows, that was the simulator engine for OrCAD. Now NC Sim is available only on Unix ports. Chapter in Captutor refers the same. OrCAD capture gives you an complete environment to code capture and code HDL blocks in schematic.
 

If I'm not mistaken OrCAD supports VHDL-AMS, which is an extension of VHDL language for modeling in both digital and analog domains. This models don't apply only electrical signals (logic values, voltages and currents) but other parameters such as temperature and power. I would suggest, as everyone has said, using Modelsim or other equivalent tool if what you want to simulate is plain VHDL in the digital domain.
 

Even if it did support VHDL-AMS, thats not really appropriate when you're trying to learn VHDL, as VHDL-AMS is a dirivitive of VHDL.

To the origional poster. Even if OrCAD does support VHDL, I doubt you will find many people that use it. I highly suggest you find a more popular simulator like Modelsim, Active HDL or the ISE simulator.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top