Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How I can build a PID algorithm in VHDL?

Status
Not open for further replies.

jgx

Member level 1
Joined
May 21, 2004
Messages
33
Helped
0
Reputation
2
Reaction score
0
Trophy points
1,286
Location
brazil
Activity points
210
hi
how I can build a PID algorithm in VHDL?
 

Re: PID in vhdl

Me too! i concern to this. I want to control a brussless Motor whith 2 loops, vontage and current, but with µP, it can't work in real time so i want to design it with FPGA,a PID in VHDL, have some one help me?
 

Re: PID in vhdl

guys (jgx, river78),
1. you need to learn digital hw design
2. you need to learn vhdl, and how to use it to describe hw
And I dont think, a forum can be of much help to you in getting right from scratch to the end. Its simply not that simple.
But of course during your learning curve, you may find a lot of help here.

There is one more option. There are a few tools available in the market boasting C to vhdl conversion, you might want to try those, if you are a big company who can afford such tools.
Kr,
Avihttp://www.vlsiip.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top