Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

help me in error i found in my vhdl code

Status
Not open for further replies.
either there are erros in the testbench, or you didnt execute the run command.
Without you adding more specific questions, this is about as specific I can be.
 

Lower left corner of you screenshot ... 0 ps to 902 ps. Wrong timescale is wrong? Translation: change your simulation timescale to ns instead of ps, or pick your favorite method to run the sim for a longer time. If that's not the issue, you really should provide more detail.
 

i use ns too and i pressing run key but i donot see anythings
 

still think you're not running for long enough. Try the run-all command. If it gives you and error message, read it.
 

Well, you may think it is running. But it's not. Check out the "Now: 0 ps" in your where-are-my-signals screenshots. Also check out the non-zero value for the screenshot you provided with actual signals. That's your hint right there that you are not running it. So enter run -all at the VSIM > command prompt...
 

# ** Fatal: (vsim-3483) Delay in signal assignment is not ascending.
# Time: 0 ns Iteration: 0 Process: /test_bench_2/wave_gen File: test.vhd
# Fatal error in Process wave_gen at test.vhd line 31
#
# HDL call sequence:
# Stopped at test.vhd 31 Process wave_gen
#

The test_bench_2 wave_gen process doesn't work:
Code:
                  rxd<='0','1' after 15 ns ,'1' after 35 ns ,'1' after 55 ns,'1' after [B][COLOR="#FF0000"]40[/COLOR][/B] ns,'1' after 75 ns,'1' after 95 ns,
                  '1' after 115 ns,'1' after 135 ns,'0' after 155 ns ,'1' after 175 ns;

I tried it with 60 instead of 40 and it runs.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top