Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

constraining a generated clock that is also an output?

Status
Not open for further replies.

casey480

Junior Member level 1
Joined
Dec 7, 2009
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,413
i have a generated clock 'i_clk' which is a divide by two created from a flip flop clocked by 'user_clock'

thus, i have the following constraint:

Code:
create_generated_clock -source [get_pins user/user_clk_mux/O] [get_pins user/i_clk_reg/Q] -name I_CLK -divide_by 2

i_clk is also an output of the module. my question is, how do I constrain that output?

i currently have

Code:
set_output_delay -max 16 -clock I_CLK {i_clk}

however, I get min timing violations on that port. Am I doing this correctly?
 

set_output_delay -min 16 -clock I_CLK {i_clk} may fix it but I don't think set_output_delay is the proper way to constraint output clock (its usually for data paths and not clock paths). If you have a certain timing to the output you have to meet you can use set_max_delay/set_min_delay
 

    casey480

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top