shedo
Junior Member level 1
Hi all,
I'm trying to synthesize the "DW_ram_rw_s_dff" from dw Block IP but I have this warning:
Warning: Cannot find the design 'DW_ram_rw_s_dff' in the library 'WORK'. (LBR-1)
I used the "**broken link removed**" provided by Synopsys. This is my script:
Synthesis log file: View attachment log.txt
I searched on google and I read the IP block manual but I can't find a solution. Can you help me?
I'm trying to synthesize the "DW_ram_rw_s_dff" from dw Block IP but I have this warning:
Warning: Cannot find the design 'DW_ram_rw_s_dff' in the library 'WORK'. (LBR-1)
I used the "**broken link removed**" provided by Synopsys. This is my script:
Code:
set search_path {. ../src/hdl/rtl ../../90nm/snps}
set link_library {* saed90nm_typ.db}
set target_library {saed90nm_typ.db}
read_verilog {DW_ram_rw_s_dff_inst.v}
current_design DW_ram_rw_s_dff_inst
saif_map -start
link
#report_design_lib
create_clock [get_ports inst_clk] -period 10 -waveform {5 10}
#
check_design
#ungroup -flatten -all
compile
write_sdc ./DW_ram_rw_s_dff.sdc
write_file -format ddc -hierarchy -output DW_ram_rw_s_dff_synthesized.ddc
write -hierarchy -format verilog -output ./DW_ram_rw_s_dff.vg
write_parasitics -output ./DW_ram_rw_s_dff.spf
sh vcd2saif -input ../sim/rtlvcd.dump -output ./rtlvcd.saif
saif_map -create_map -source_instance tb/Ram -input ./rtlvcd.saif
saif_map -write_map ./DW_ram_rw_s_dff_ptpxmap.tcl -type ptpx
report_power
exit
Synthesis log file: View attachment log.txt
I searched on google and I read the IP block manual but I can't find a solution. Can you help me?