Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

2D matrix input in VHDL for FFT

Status
Not open for further replies.
2D defines the input data size. Its 2 dimensional. It depends on the kernel size. Like I said you need to do FFT one time in the x-direction, save the data into the buffers and then once the data are saved in buffers then you have 3 rows of data (assuming you have 4x4 kernel). Then once you have the data in buffers, you do convolution in y-direction. To verify your results, I suggest you collect the FFT data and check it with your simulated output in Matlab. This way you can say if you are right or wrong.
 
  • Like
Reactions: shan14

    shan14

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top