Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why designers write VHDL codes which include UNISIM components?

Status
Not open for further replies.

go4sandesh_vsn

Member level 4
Joined
Sep 22, 2006
Messages
76
Helped
14
Reputation
28
Reaction score
5
Trophy points
1,288
Location
Pune, India
Activity points
1,767
hi all...

i've observed some of the designers write their VHDL codes including UNISIM components directly...
Can anyone tell me why they do so...n how much helpful this could be n in wat sense.....

I really cudnt figure it out reason for UNISIM library inclusion other than in cases where u really need to instantiate some components like FIFOs (using template) or buffers....
n i believe Unisim lib inclusion is not required if u dont hav anything to instantiate...m i right??????
 

Re: regd VHDL n UNISIM

Hi go4sandesh_vsn,

as far as i know, unisim lib comes from xilinx.
So whenever you want to use xilinx core in your fpga, you can use unisim lib instantiate component used in your design (as described in your fpga manual).
Then when it comes to synthesis stage, xilinx tool will use the corresponding core for your component (e.g. FIFO, Multiplier, etc.).

Yes you are right you dont need unisim if you dont use any built-in component.
But o fcourse, you can always use components in unisim for your behavioural simulation, but it does not make sense if your fpga does not have that core.
I hope that it helps.
rgds.
 
Re: regd VHDL n UNISIM

Hi,

Basically Unisim contains simulation models of Xilinx internal elements.

They are used to get exact behaviour of the elements as these will be then mapped during synthesis.

Thanks,
Gold_kiss
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top