Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

non repeated random number generator??

Status
Not open for further replies.

jameela

Junior Member level 2
Joined
Mar 1, 2010
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Pakistan
Activity points
2,467
in verilog which function or statement can be used to generate random non repeated numbers between 1 to 1024 using a seed????
 

and what about in VHDL? how can I do it? Its urgent
 

You will always have repeated numbers. After all thousand are used there are no more.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top