Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by xtcx

  1. X

    Cortex A5 processor compatibility with FPGA.........

    -- Yes, anything that is VHDL/VERILOG/SYS.VERILOG can go inside FPGA, provided you use proper synthesis tools. But there are things like POR, DELAY CELLS, ANALOG IPs in the SoC will not go into FPGA. However, for ARM only design, you will not find these. -- As I said, it will be well below...
  2. X

    Using a FPGA board as storage

    I'm still not clear with your question. Both DDR and PCIe IPs are not as easy as it sounds, as said by others. Esp in RTL design. Also you need a file system to do copy/paste stuff from ubunto to your FPGA storage. There is one way to handle this with ease (but still that needs effort). Use a...
  3. X

    Using a FPGA board as storage

    Yes, you can use BRAM. But it is limited. What type of storage do you expect?. Store receive data and read it through Chipscope?.
  4. X

    Using a FPGA board as storage

    Yes. Almost of the dev boards come with a DDR Memory shared with PCIe (you can do that in your design) so that your PCIe host can directly write data to the DDR. You can check PCIe signals using probes, but that uses your FPGA internal memories to store dump
  5. X

    Cortex A5 processor compatibility with FPGA.........

    If you are asking A7 will fit into FPGA for SoC prototyping, Not sure if it will. However you should be able to synthesis the ARM core in your FPGA using tools like Synplify Pro or Premier. Since M3 doesn't have FPU or DSP extensions it may not have occupied much as these are the major ones to...
  6. X

    Cortex A5 processor compatibility with FPGA.........

    Which one are you asking?. FPGA Prototyping? or MPSoCs?. It is ARM CortexM1 that is purely optimized for FPGA. I'm working on Cortex M3 running on FPGA and trust me, crossing 60 MHz on Stratix high end FPGA is really quiet harder. If you are asking about hard IP like Zynq or the new Stratix-10...
  7. X

    Pipeline architecture

    That is what close to burst transfer, as Continuous transfer (Parallel or Serial) on a bus will hold the bus for longer time in a Multilayer bus system like AHB or AXI. So Rather than writing all your 100 data, set 10 as your burst size and send it 10 times at certain period so that the bus will...
  8. X

    Design verificattion in FPGA

    First you need to prove your model using behavioral simulation. In case if you prefer DFT style testing, then Xilinx VIO is your only go. It has options to directly interact with your code in real time. The GUI allows you to read/write data to your logic where you prefer to attach a probe...
  9. X

    From Matlap Simulink to FPGA

    Use Xilinx system generator tool set and you can interface Simulink with RTL design. The other way is HDL coder from Mathworks
  10. X

    implementing state machines using matlab function block in simulink

    I hope you are trying to use system generator M-code block. Check this link **broken link removed** It says "Persistent variables are local to the function in which they are defined". However did you write this yourself or it was generated?. the declaration looks like a data type declaration...
  11. X

    How to connect multiple FPGAs?

    In my opinion, if your data is just from sensors (which usually have very low update rate, sometimes even once in few seconds) you can use just a Clock, Dout, Dvalid to send serially( Set valid high when data is valid, 1 data/clk). Receiver logic is also easy to implement (when valid is high...
  12. X

    Xilinx MIG Traffic Generator problem (board level)

    Thank you. Will keep that in mind next time when I do. I have been doing IP upgrade till date.
  13. X

    Pipeline Vs Sequential

    Thanks for the correction. By concurrent I assume assignments on RHS will appear on LHS with just wire delay whereas by sequential, RHS will be scheduled to assign to LHS at x time + wire delay. On hardware := is nothing more than wire
  14. X

    Pipeline Vs Sequential

    > All process are concurrent > Statements inside process can be concurrent or sequential depends upon your modeling.For eg process(a,b) begin c <= a xor b; end process; process(x,y) begin z <= x xor y; end process; process(clk) begin if rising_edge(clk) then m <= n xor o; end if; end...
  15. X

    Digital Filter Implementation on FPGA

    Having a Matlab+System Generator model will be of very much helpful for your case. However, I hope you have Matlab. Since you have asked to design a filter to remove all frequency components post 10KHz for an FSK, I hope you need a low pass filter and not a BPF. Plus BPF consumes more resources...

Part and Inventory Search

Back
Top