Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sakshi gupta

  1. S

    what are the issues in Backend if we use assign statements in netlist ?

    what are the issues in Backend if we use assign statements in netlist ?
  2. S

    DFT Basics material for study required

    I want to learn DFT basics . Can someone help me on that ?
  3. S

    [SOLVED] Warning reported by design compiler while synthesizing RTL

    Yes if D will be unused, it will optimize A & B as these are unconnected
  4. S

    Area related checks in RTL design

    I want to perform area related checks in my RTL . can somebody help me in the list of checks that should be checked ?:?:
  5. S

    Different types of congestion

    Can somebody explain in detail about different types of congestion & How these can be reduced? Thanks Sakshi
  6. S

    glitch verification in clock domain crossing

    Can some share with me some material on : 1. Example of glitch 2. How to avoid glitches on clock domain crossing path ? 3. Issues due to glich propogation in CDC :roll:
  7. S

    glitch - What is glitch analysis? explanation

    Do u have some material on how we can do glitch analysis on clock domain analysis ?
  8. S

    glitchy logic in Netlist

    Can some provide information on : 1. what is glitchy logic ? 2. How RTL code when synthesized will be converted in to glitchy logic ? 3. Various RTL code that can be converted to glitchy logic ?:???:
  9. S

    shootthrough in verilog & vhdl

    Example of shoot through in RTL : p_comb: process (mclk) begin mclk1 <= mclk; end process; p_sync1: process (rst_n,mclk) begin if rst_n = '0' then data_int <= '0'; elsif mclk='1' and mclk'event then data_int <= data_in; end if; end process; p_sync2: process (rst_n,mclk1) begin if rst_n = '0'...
  10. S

    shootthrough in verilog & vhdl

    Can any one explain how we can remove shoothru in Verilog & vhdl code ?:evil:
  11. S

    net balsting in verilog

    1)Is net name '\a9_apb_prdatasys[0]' in verilog avalid syntax ? 2) will such net names cause some issues in Frontend Flow ?:evil:
  12. S

    DFT checks at RTL level

    I just want to know , what kind of checks related to DFT we can check in RTL ? Thanks Sakshi
  13. S

    synthesis question !

    If we know that about CDC crossings in design . Do you see that we can take advantage of such reports during the synthesis (may be on the crossing synthesis tool is trying to put more effort to meet the timing etc)?:?: regards sakshi
  14. S

    How to distinguish between Flop & temp variable ?

    good case module testve_vlg155(out1, in , clock , reset ); input clock , reset, in; output reg [2:0] out1; reg [2:0] out2; reg [1:0] i ; always @ ( posedge clock or negedge reset ) begin if (reset == 0 ) begin out1<=0; out2 = 0; // good -- out2 is...
  15. S

    RTL Coding Style of sensitivity list

    Yes u are right that code mentioned below may cause infinite loop in simulation always@(b or a) begin c=a ; a=b; end My question is then to avoid this situation , we should write code like this: assign c=a; assign a=b ; Are two codes equivalent ?

Part and Inventory Search

Back
Top