Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Warning reported by design compiler while synthesizing RTL

Status
Not open for further replies.

er.akhilkumar

Full Member level 2
Joined
Feb 1, 2011
Messages
121
Helped
4
Reputation
8
Reaction score
4
Trophy points
1,298
Location
Noida
Activity points
2,422
Hello All,

I am synthesizing a simple logic in vhdl which is following:

PORT(
A : std_logic_vector(15 DOWNTO 0);
B : std_logic_vector(15 DOWNTO 0);
C : std_logic_vector(15 DOWNTO 0);
D : std_logic
);
BEGIN

C <= A WHEN D = '1' ELSE
B;

END

While synthesizing the above logic DC Shell reports following warning:

Warning: In design port A[15] is not connected to any nets
Warning: In design port B[15] is not connected to any nets

Can anyone solve this out?

Thanx
 

I don't know VHDL but should n't this be D == 1 rather than D = 1

please correct me if am wrong.
 

No tariq786, it should be D = 1 as in VHDL equiality is checked by single equalto operator "=".
 

If this design is not the top level and during instantiation C(15) left unconnected (unused) then DC can cut A(15) and B(15) as unconnected inside module.
 

Yes if D will be unused, it will optimize A & B as these are unconnected
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top