Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kelvin_sg

  1. K

    [100 poits] Help me with this "Z" propagation in n

    Re: [100 poits] Help me with this "Z" propagation The original rom_model.v is not nicely coded. Are there better ways instead of hacking the rom_model?
  2. K

    [100 poits] Help me with this "Z" propagation in n

    Help me with this "Z" propagation in ncsim please! Anyone knows why?
  3. K

    How do I control verilog "parameter" from VHDL TB?

    My design hierarchy is TB.vhdl ---->ip_TOP.v ---->ip_Module.v ---->ip_memory.v in which the ip_memory is parameterized in ip_Module.v, not in ip_TOP.v module ip_memory (a,b,c); parameter INIT_ARRAY = 1'b0; //defult value // The INIT_ARRAY renders the ip_memory to...
  4. K

    Is it realistic to connect SDRAM to the ARM-7TDMI-S?

    I am new to microcontroller based designs. I have the ARM-7TDMI-S RTL codes. The technical ref manual gives timing diagram without considering the timing of memory access. Is it customary practise to use ARM with SDRAMs? I believe it's do-able if the CLKEN is inactive long enough by memory unit..
  5. K

    Have there existed any GNU synthesis/P&R software alread

    In the software industry, at first compilers were expensive and inaccessible.. then slowly GNU toolchains came out.. Will this possibly happen in ASIC synthesis/P&R world? :?:
  6. K

    Can anyone help explain the recovery timing?

    If the design only commerce useful function after some clock cycles after the master reset, this violation should be of no concern am I right? Thanks.
  7. K

    Can anyone help explain the recovery timing?

    reset recovery timing violation We are getting recovery timing violation in some part of the memory, in the past we tend to ignore them. Since it's not as well known as setup/hold, and i can not find them in previous training manuals. Can anybody help to explain? TIA!
  8. K

    NCSIM's command script magic?

    ncsim script I use the save command script often, but lately after upgrade to some funny version, it sometimes write a plain restore.tcl file.. other times write some funny restore.tcl which in turn calls another restore.tcl.svf file.. What is the trick to disable this annoying phenomenon?
  9. K

    MATLAB simulation for MIMO-OFDM and WiMax

    mimo ofdm simulator the codes is nice and thank you, but with source codes on the submodules will be excellent..
  10. K

    NCSIM error, how to get a return message?

    I am running batch simulations but sometimes due to license issue, NCSIM just fails with a Error Status 2.. but I need to check this status to decide whether the result can be compared with reference. I found $status return 0 irregardless whether NCSIM fails or not. 2nd question, after I...
  11. K

    How do I effectively split a bit-string using underscore?

    split using underscore In Verilog one can split the bit-string with _.. "1111_0000_0001".. for readability.. In VHDL what trick can I use?
  12. K

    netlsit from smic180nm to tsmc130nm

    Depends on how libraries are built, it's likely you get a clean translation if the design doesnt use special gates.
  13. K

    Help needed ...Price of Modelsim , VCS , ncverilog

    vcs licence Each of the license may cost $10,000, since these are very specialized software.
  14. K

    What are the *free* tools supporting SystemVerilog?

    Hi, there I was Verilog (Modelsim-XE/ISE) user now trying to read up SystemVerilog. What are the tools supporting SystemVerilog? I need a simulator and a synthesizer for my practices. Thanks in advance! :D
  15. K

    Short c-shell regex to delete lines, how do I code?

    Thank you and it works. :D

Part and Inventory Search

Back
Top