Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How do I control verilog "parameter" from VHDL TB?

Status
Not open for further replies.

kelvin_sg

Advanced Member level 4
Joined
Aug 17, 2004
Messages
102
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,298
Location
Singapore
Activity points
852
My design hierarchy is
Code:
TB.vhdl 
---->ip_TOP.v 
       ---->ip_Module.v 
              ---->ip_memory.v

in which the ip_memory is parameterized in ip_Module.v, not in ip_TOP.v

Code:
module ip_memory (a,b,c);
parameter INIT_ARRAY = 1'b0; //defult value
// The INIT_ARRAY renders the ip_memory to read some initialization data.. 

blah blah.. 

endmodule

Both the IP and the TB are external so minimum modification of the RTL is allowed..
With ncsim 8.2, what can one do to fix that?
ncvlog +defparam doesnt seem to traverse across the hierarchy..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top