Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by dft_guy

  1. dft_guy

    DFT for core with BIST memory

    memory dft collar The mux is usually part of the BIST memory collar, or wrapper - whether this goes into the RTL code depends upon when you insert that logic - it could be done either at RTL or gates - are you doing a custom mBIST implementation? Or using a tool? JMF for DFT talk/info go to...
  2. dft_guy

    Regarding the Compression Techiques in Design for testabilit

    Re: Regarding the Compression Techiques in Design for testab The advertised strength is different between the two: the Mentor tool claims to be better at compressing many internal chains down to very few eternal chains, whereas the Synopsys tool claims to be better at catching small delay...
  3. dft_guy

    Can latches be part of scan chains, please explain.

    Re: latches in DFT latches are very often part of a scan chain, but most commonly as "lock-up latches" that occur between clock domains, to guard against hold time violations in scan shift mode. If you're curious and want more answers, go to DFT Forum - many DFT folks hang out there. for DFT...
  4. dft_guy

    "Design for Test" e-book request

    I've never seen this in e-book form - and besides, it's pretty dated (old) - there are better books out now. Go check out the book list at DFT Digest. for DFT talk/info go to: DFT Digest DFT Forum
  5. dft_guy

    Why ATPG coverage is more than the Transition Delay?

    Re: Coverage Numbers I assume that by "ATPG" coverage, you mean "stuck-at" fault coverage, and if so, the answer is fairly straight-forward: stuck-at coverage detects a more catastrophic fault, whereas the transition delay fault must be able to detect both a slow-to-rise or slow-to-fall - which...
  6. dft_guy

    Can anybody upload At speed test materials?

    There is a lot of material around - just google it - but if you have specific question... go ask at DFT Forum. We might be able to answer your question there... for DFT talk/info go to: DFT Digest DFT Forum
  7. dft_guy

    What is the difference between AC Scan and DC Scan?

    Re: AC and DC Scan DC scan refers to stuck-at testing, AC scan is at-speed scan (for delay faults)... John for DFT talk/info go to: DFT Digest DFT Forum
  8. dft_guy

    A ROM CTL MODEL FOR SNPS, IS IT RIGHT?

    Don't know! Looks fine to me - try again... JMF for DFT talk/info go to: DFT Digest DFT Forum
  9. dft_guy

    How to avoid skew for scan enable during ATPG?

    Re: skew scan enable Route it like a clock. That's the simple answer. There are otther more complicated ways, used in logic BIST sometiimes, where the scan enable is pipelined, so that locally, there is less skew. But the general answer is that you have to use clock tree syntthesis on the...
  10. dft_guy

    A ROM CTL MODEL FOR SNPS, IS IT RIGHT?

    I don't have my CTL book/spec with me, but try posting over at DFT Forum. There's people over there that probably use this more often... for DFT talk/info go to: DFT Digest DFT Forum
  11. dft_guy

    Comb ATPG and Sequential ATPG in LOS and LOC

    LOC is sequential, since it is essentially a double capture, and the ATPG tool needs to be able to store the state of the circuit after the last shift and first clock pulse of the capture in order to know what is expected after the second capture clock. LOS is essentially the same as a simple...
  12. dft_guy

    Scan chain detachment

    What is it you mean by 'detach'? You want to unstitch the scan chains and redo them in another way? If so, I believe DFT Compiler will do that anyway, unless you specify them and put dont_touch on them. The tool is quite rude that way... ;-) for DFT talk/info go to: DFT Digest DFT Forum
  13. dft_guy

    How to choose the number of Scan-in and Scan-out?

    Re: Scan chains Absolutely, the number of scan chains is influenced by test time (which determines cost of test, and in turn the COGS ffor your device). The faster you can test a device, the cheaper it is to test, and the cheaper the cost of producing that part is. Increasing the number of...
  14. dft_guy

    What is the compression ratio and how to fix the compression ratio for any design?

    Re: Compression ratio The economics of compression is just part of the story - what you can and can't do with compression is very design dependent, and tool dependent. Less well-behaved designs cause unknowns to be propagated through the scan chains, which require masking them in the...
  15. dft_guy

    What's your DFT architecture in SOC design?

    The MBIST result is not scanned out at-speed, so there is no worry there. If you're using JTAG to launch scan, the status signals are sent to the TAP controller asynchronously - no particular timing requirements. Then, normally, the results are scanned out at TCK speed. for DFT talk/info go...

Part and Inventory Search

Back
Top