Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Dave_PL

  1. D

    Modeling Faults with VHDL language

    No. 'Force' and 'release' are in VHDL2k8 standard. Usage: signal <= force illegal_state; signal <= release; You can do that also with ports but then you have to use 'force in/out'
  2. D

    What is the difference between Behavioral Simulation and Post Translate Simulation ??

    2) Uses structured simprim library - no delays involved, 3) Uses simprim + SFD file containing estimated delays, 3) Uses simprim + SFD file containing real delays in your design.
  3. D

    image processing on fpga problem

    Yes, thats true. I gave just one solution. But which will be faster?
  4. D

    image processing on fpga problem

    Its simple ROM. You have to store "X" values in e.g. BRAM and read from it depending on "I" value. Lets say A = 1, K = 2 so considering your equation: x(i) = A + 2*i*K you will get: x(0) = 1 + 2*0*2 = 1 x(1) = 1 + 2*1*2 = 5 x(2) = 1 + 2*2*2 = 9 etc. So you fill ROM with values 1,5,9 etc and to...
  5. D

    image processing on fpga problem

    When A,K are constants and i is counter so why not use LUT ?
  6. D

    Help me implement high accuracy system with XUP boards

    Yes. Ive just tried to use simple 'process (all)' in ISE 12.3 but it didnt synthesize because of the error. However you can add new fixed_pkg or float_pkg packages to your designs and synthesize it. More you can read here: **broken link removed** Its a pretty nice tool. There are a lot of...
  7. D

    Help me implement high accuracy system with XUP boards

    I suppose ISE doesn't support VHDL2k8. Definitely XST doesn't support it. So for it's only Riviera-PRO/Active and Synplify I think. PowerPC looks nice considering floating point operations. However you do not specify how fast you want to calculate. I cant tell you which board you should...
  8. D

    [SOLVED] What's the utility of ..perl and Tcl /tk in Modelsim /Active Hdl ....Similar tools ?

    Automatisation? Check webinar on Aldec, Inc - The Design Verification Company about Tcl. Or you can read about on Doulos - global independent leaders in design and verification know-how
  9. D

    Multiplexed bus problem

    You've got a point :) Thank you!
  10. D

    Multiplexed bus problem

    Yes, its a part of FSM so in other state. Saying " It might be best to put a case statement on dataNumCnt to be explicit with you bit selection." you mean sth like this: case dataNumCnt is when 7 => buff <= 224vector (223 downto 192); when 6 => buff <= 224vector (191 downto 160); etc ?
  11. D

    Multiplexed bus problem

    if bitCnt < sampleRes then SD_O <= buff (sampleRes - bitCnt); bitCnt <= bitCnt + 1; else SD_O <= buff (0); bitCnt <= 0; if dataNumCnt > 0 then dataNumCnt <= dataNumCnt - 1; buff <= 224vector (dataNumCnt*32 - 1 downto (dataNumCnt-1)*32); else dataNumCnt <= 0; buff <=...
  12. D

    Multiplexed bus problem

    Hi, Ive got a module which send to me 224 bit vector. But i would like to divide this bus into 7 vectors 32 bit wide. signal 224vector : std_logic_vector (223 downto 0); signal buff : std_logic_vector (31 downto 0); Now I would like to sample (7 times) in some sort of a loop like this...
  13. D

    How to generate sine wave with freq. 15kHz or 20kHz with only 48kHz clock?

    Hi, Is it (if is then how) possible to generate sine wave with frequency lets say 15kHz and 20kHz having only CLK of 48kHz ? Im asking because I wanted to generate this type of a signal and send it through I2S to the AC97 codec on my board and connect it to PC and see signal and its spectrum in...
  14. D

    I2S - Audio Samples and data type

    So why in I2S spec is written: "Serial data is transmitted in two's complement with the MSB first" ?

Part and Inventory Search

Back
Top