Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by cristiano7

  1. C

    Help with my rom issue

    HI, i want to save in a ROM those vectors: vector1(0 to 3)<=x"0000"; vector2(0 to 3)<=x"0001"; vector3(0 to 3)<=x"0010"; And each time i want to take one of them in order to xor it with another signal( MEP(0 to 3) ) which is coming from another component. The problem is that during synthesis it...
  2. C

    Variable length input

    is it possible to read from a file or somewhere else the message and save it as an input?I came across to a textio package while googling but i am not sure what is this for!
  3. C

    Variable length input

    My problem is how to give as an input a variable length std_logic_vector.To be more specific i want to have a VHDL implementation that will take as an input an std_logic_vector(0 to variable) .How can i do that?
  4. C

    Representation in big endian form using vhdl

    ok guys ! i solved my problem! Thanks again for your help! Finally the solution to my problem had to do with something else and not with the padding of the message! Anyway thanks for your help! Now my problem is how to give during the simulation as an input a variable length message! I am going...
  5. C

    Representation in big endian form using vhdl

    man it is conventional if i write message2(511 downto 0 ) or messega2(0 to 511)... Anyhow i write it i am gonna change the code so that in the simulation i see that message2 is "message1&1&0...0&128-bit binary representation of the length of the message1 in big endian form".
  6. C

    Representation in big endian form using vhdl

    i know that with my code it is right , i can see it on the simulation! I am not sure if it is right according to the task which says : 128-bit binary representation of the length of the message1 in big endian form
  7. C

    Representation in big endian form using vhdl

    So in the case : message1(0 to 7)<="11001100"; l<=8(which is the length of the message1) the outcome according to the previous would be: message2(0 to 511)<="1100110010..0(383)0...01000";???????
  8. C

    Representation in big endian form using vhdl

    "I guess, it's about changing the code to variable length message. But you have defined message1 with a fixed length of 8. So at best, you can make it work for a variable message length of 0 to 8. " --Yes this is one thing that i have in my mind to solve sometime, but not now.Now i really have...
  9. C

    Representation in big endian form using vhdl

    message2 is a signal which i use for a xor gate with another std_logic_vector called hi.so i do after that: message2 xor hi.So i don't have to make message2 as an output.I need it as a signal!
  10. C

    Representation in big endian form using vhdl

    what i wanna do is exactly what the task above demonstrates! :P You have a message M which is l bits.(So let's say that M(0 to 7)<="11001100" and thus len1(0 to 3)<="1000",len1 represents the length of the message in bits).Append the bit 1 to the end of the message M followed by 384-1-lmod512 of...
  11. C

    Representation in big endian form using vhdl

    see the following for example.Here we have the message M<="011000010110001001100011" and the length of the message M is 24 bits.(here we have the out(0 to 1024) signal, something similar but it follows the same rule for the representation of the length of the message ).As you can see number 24...
  12. C

    Representation in big endian form using vhdl

    Followed by 384-1-len1mod512 of zeros('0').
  13. C

    Representation in big endian form using vhdl

    ok, so what do you advise me to do ?
  14. C

    Representation in big endian form using vhdl

    the length of the message M(which is "11001100") is 8 bits, right?So the binary representation of the length of the message is "1000",isn't it?Integer 8 is "1000"(1000=8).So why should it be wrong that len1(0 to 3)<="1000" represents the integer number 8?No i do not have a vhdl library for the...
  15. C

    Representation in big endian form using vhdl

    i don't have understood totally what you said ,however i hope that i can be more clear with the next. len1(0 to 3)<="1000"; To be more specific in my case i have a task that says the following: You have a message M which is len1 bits.(So let's say that M(0 to 7)<="11001100" and thus len1(0 to...

Part and Inventory Search

Back
Top