Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ammassk

  1. A

    Set up for the calibration of ESD target

    dear all We are trying to set up a calibration procedure for the measurement of transfer Impedance of ESD Target. For this we are following the IEC 61000-4-2 edition 2 Standard. This procedure is based on the set up given in this standard. In the set up, a current source is given at the input...
  2. A

    EMC Calibration of ESD Target

    Hi all In the calibration of ESD Target for transfer impedence, which current source I have to use? What are the specification for this?
  3. A

    chipscope output in LCD

    DEAR ALL I need a solution to interface LCD with CHipscope. Input values to chipscope are a set of 8 values each with 16 bits and output 8 bit is got . How to display this chipscope output in LCD of FPGA? ....Some one please respond for this.
  4. A

    how to make the code synthesizable?

    Here I have written the code for getting data's from one memory location using the information from other memory. How can I describe this in circuit level?Please help me.
  5. A

    how to make the code synthesizable?

    dear all, I have written a code as shown below. I couldn't make it synthesazable. I don't understand how to make it synthesizable also. PLease help me to do the same. /code -------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use...
  6. A

    fixed point ldpc decoder

    I didnt understand what is your problem. Fixed point numbers, you can find out using matlab and store in an LUT.
  7. A

    how can we reduce IOB in FPGA

    dear all can some one tell me what's the use of IOB in FPGA, In my project after synthesis (ISE), in the design summery, it is showed that 111% of IOB used, secondly how can i reduce IOB usage?
  8. A

    code is not synthesizable

    Dear all I wrote a Vhdl code for checkinh the number id positive or negative. In simulation I am getting the answer. But the code is not synthesizable. I used the format as shown below. if(clk='1' and clk'event)then if(ready0='1')then if(y0(y0'left)='1') then...
  9. A

    matrix entry in vhdl

    but i dont want to access the whole 8 bits at a time. In my code i actually meant each each bit vector is a row and i need to access each bit in a row. It is working in my simulation model. But not synthesizable. Can you please tell me how to access each bit at a time. What I am trying to do is...
  10. A

    matrix entry in vhdl

    each element is a character. I represented array as shown below. type hmatrix_array is array(integer range 0 to 3 , integer range 0 to 7)of character; constant mem:hmatrix_array:=("01011001","11100100","00100111","10011010"); But this is showing error.I need to access each element in this...
  11. A

    matrix entry in vhdl

    Hi all How can I store a matrix which has 4 rows and 8 columns in memory? Each element in the matrix is character 0 or 1.
  12. A

    timing problem in vhdl code

    I got the simulation result , but in actual clock.Also it gave undefined values for some clocks at the starting.How can i solve this? - - - Updated - - - I represented a 4 x 8 matrix having values 0 s and 1's in that array as characters. I didnt notice the integers are 32 bit. Thank you. I...
  13. A

    timing problem in vhdl code

    dear all I wrote a code for memory. I am getting correct values in the out put. but its not coming in correct clock period.Initially there are undefined values for many number of clock cycles.datas are also repeating for some clock cycles in the middle. how can i solve this? LIBRARY ieee; USE...
  14. A

    exponential function in vhdl

    dear all I wrote VHDL code for exponential function using taylor series. But I need to find out exponential values for an array of numbers in between 4 and 50. But taylor series works for x<1. Can anybody help me to solve this problem?
  15. A

    vhdl code for division of two fixed point numbers

    I tried this. But not getting the correct answer. I used the library numeric_std also. - - - Updated - - - I tried restoring algorithm and got correct answer.But I got quotient and reminder separately. But my problem is I need to get this together as answer. Eg: for 4/3 , getting quotient as...

Part and Inventory Search

Back
Top