zero cycle path setup violation

Status
Not open for further replies.

atulpatil6370

Newbie level 1
Joined
Oct 28, 2015
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
8
Hi folks,

I have seen few zero cycle ( set_multicycle_path 0) setup paths in design. Can you explain why there is a need of having 0 cycle setup path? what is a advantage of the same? How do we check hold violations for these kind of paths?

These paths are violating setup time. How can fix this issue in cadence encounter
 

These are several points below:
1. 0 cycle setup would be a problem in design itself. Please review with your designer. What is the reason for the path to be existed.
2. If it is right from designer, please do clock latency adjustment, which extend delay of capturing clock and shorten launch clock delays. Of course, you need to make sure below:
- No side effects for others paths related to these 2 FFs.
- Hold timing is good.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…