Writing timing constraints for clock divider

Qwerty112233

Member level 2
Joined
May 21, 2021
Messages
43
Helped
1
Reputation
2
Reaction score
2
Trophy points
8
Activity points
368
Hi,

I am wondering how to write these generated clock constraints at clk out pin, when there is a clk in coming in, div_en and cg en are other signals going to flop and clock gate respectively.

Can i just say
create_generated_clock -source <CLK pin of flop in the picture> -master CLKIN -divide_by N [get_pins CLKOUT]

I need to ask RTL designer what the N value needs to be..

 

Cookies are required to use this site. You must accept them to continue using the site. Learn more…