Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

With the powerful features possessed by SystemVerilog , why were VMM, OVM e.t.c made?

Status
Not open for further replies.

matrixofdynamism

Advanced Member level 2
Joined
Apr 17, 2011
Messages
593
Helped
24
Reputation
48
Reaction score
23
Trophy points
1,298
Activity points
7,681
SystemVerilog possesses some very powerful features like assertion based testing and constrained random testing, not to mention OOP. I am sure that people can come up with various ways to create testbenches using all the tools available within SystemVerilog.

Why then have people developed things like VMM, OVM, UVM?

How would you describe UVM? I do know that it stands for universal verification methodology. Besides this it has now become the main industry standard having replaced all other ones like OVM, VMM e.t.c which have all become obsolete. I know that it provides instructions on a certain way to create the test environment when using SystemVerilog for verification. But why do we even need it to begin with?
 

Because you need freedom from choice. The problem with any powerful language is that there are too many different ways to use them. You need methodologies based on standard Design Patterns to make the code more re-usable and interchangeable. The UVM standardizes the way you setup your testbench environment, run it, and figure out when to finish. And not only do you get re-usable code, you get re-usable people who understand the methodology that can move from project to project.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top