Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why state machine is not triggered?

Status
Not open for further replies.

EDA_hg81

Advanced Member level 2
Joined
Nov 25, 2005
Messages
507
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
4,808
I am implementing FSM inside Altera FPGA.

I have set the initial FSM = idle.

Why FSM is not triggered?

How the FSM is stuck?

Thanks.
Code:
process ( clkin ) 
		begin
			if (rising_edge(clkin)) then
				vsyndet0 <= v_sync;
				vsyndet1 <= vsyndet0;
				vsyndet2 <= vsyndet1;
				vsyndet3 <= vsyndet2;
			end if;   
		end process;

process ( clkin )  
        begin
             if (falling_edge(clkin)) then
	case state is
                      when idle    =>
	           if ( vsyndet3 = '0'       and vsyndet2 = '0' and vsyndet1 = '1' and vsyndet0 = '1') then
		          state <= vsyncrdet;
                     end if;
 

give full code text>>
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
:D

please add a reset condition , and a reset signal in the proceess where in the FSM will go in to the state of initialization.

FSM initially should know which state it has to go ..


so please introduce a condition of reset and move to init state and let your rest of the states be linked as usual.



------------------
Correct me if i am wrong!
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top