who may kindly offer a VHDL source used for debouncing ?!

Status
Not open for further replies.

vvsvv

Full Member level 1
Joined
May 26, 2004
Messages
98
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
796
Thanks a lot and a lot of thanks!!

 

Debouncing a pushbutton? Easy! First, determine the longest expected bounce. Then pass the signal through a flip-flop clocked (or enabled) at a rate longer than the bounce. For example, if 10 ms of bounce, then clock at 100 Hz or less.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…