Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Which programs support for simulating Verilog completely?

Status
Not open for further replies.

ThaiHoa

Member level 1
Joined
Mar 30, 2004
Messages
35
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Activity points
270
verilog programs

I often use ModelSim to simulate Verilog programs because I see MaxPlusII or Quartus do not support fully for Verilog. Does anyone know any good programs for simulating Verilog codes? These are free programs or not....
 

how to simulate a verilog program in modelsim

Hi ThaiHoa,

There are many good veilog simulator you can use, such as v_c_s from

syn0psys, Nc-ver1log from C@dence.

wang1
 

how to simulate verilog programs

Other than VCS and NC-Verilog... there are Verilog -XL , Finsim...etc
if u r simulating verilog for FPGAs Aldec active HDl also u can try..

"These are free programs or not...."

NO..
u need to pay huge money for the EDA company..

for eg one perpetual NC.Verilog License costs around 70,000US$
 

how to simulate verilog code in modelsim

It seems the you are new in this area, so I recommned you ActiveHDL which is good in coding, simulation and also it has good communication capability with P&R tools.
 

how to simulate verilog program

Johnson said:
It seems the you are new in this area, so I recommned you ActiveHDL which is good in coding, simulation and also it has good communication capability with P&R tools.

The most important thing is price of EDA tool I think tou must choose Modelsim or Active HDL (crack version).
 

how to simulate verilog

I don't think Active HDL is a good choice. I found some bugs before when I used it. It cost me too much to find the bug before I finally knew that it belong the the tool, not my code.
 

Re: Which programs support for simulating Verilog completely

ThaiHoa said:
I often use ModelSim to simulate Verilog programs because I see MaxPlusII or qu@rtus do not support fully for Verilog. Does anyone know any good programs for simulating Verilog codes? These are free programs or not....


Most FPGA use VHDL not verilog
 

Re: Which programs support for simulating Verilog completely

tomachao said:
ThaiHoa said:
I often use ModelSim to simulate Verilog programs because I see MaxPlusII or qu@rtus do not support fully for Verilog. Does anyone know any good programs for simulating Verilog codes? These are free programs or not....


Most FPGA use VHDL not verilog

It's only depend on you company's flow, not depend it's FPGA or ASIC.

If the FPGA is used to prototype, the hdl used are always the same as the ASIC.

In China and USA, people often use verilog, and in Euro them use VHDL.
 

Re: Which programs support for simulating Verilog completely

ThaiHoa said:
I often use ModelSim to simulate Verilog programs because I see MaxPlusII or qu@rtus do not support fully for Verilog. Does anyone know any good programs for simulating Verilog codes? These are free programs or not....

AFAIK, MaxPlus & Quartus are synthesis tools and hence will support only a sub-set of Verilog (they may not support complete synthesisable sub-set though). Not sure why you are not happy with Modelsim, they support a lot of Verilog constructs (I guess some V2K are not yet done, not sure which one).

Aji,
http://www.noveldv.com
 

Re: Which programs support for simulating Verilog completely

One year before, I simulated FPGA with ModelSim, it's ok, but now, I'm using VCS for UNIX, it's a professional tool for simulating Verilog program. I haven't tested with Active-HDL, does it support for Verilog HDL (not Active HDL)? and free or having license
 

Re: Which programs support for simulating Verilog completely

"Active hdl" is not a "hdl" language, it's just the tool's name.
it can fully support verilog, both 1995 and 2001, and the newest version said it can also support systemverilog.
you can read about it in aldec website.

ThaiHoa said:
One year before, I simulated FPGA with ModelSim, it's ok, but now, I'm using VCS for UNIX, it's a professional tool for simulating Verilog program. I haven't tested with Active-HDL, does it support for Verilog HDL (not Active HDL)? and free or having license
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top