Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What's the use of Elaborator in NC-SIM?

Status
Not open for further replies.

neo_chip

Member level 1
Joined
Jul 14, 2006
Messages
34
Helped
3
Reputation
6
Reaction score
0
Trophy points
1,286
Location
INDIA
Activity points
1,450
Hi
Iam using nc-sim.
what is the use of elborator?
do other tools have this?

Thanks
 

Re: regarding NC-SIM

"elborator" ?

Do you mean "elaborate"?
 

Re: regarding NC-SIM

All the simulator tools have this procedure. The difference is the speed and efficiency.
 

Re: regarding NC-SIM

elaborator is to apply initial conditions for the compiled designs.

simulator will execute the elaborated designs
 

Re: regarding NC-SIM

As per my understanding the elaborate means that (in context of HDL compilers ) is to unroll (~ = making a single executable from all the compiled fidle) the whole code and convert it into a low level binaries/librarries.

Hope this helps.
Bye the way Not only NC-sim has a this phase of compilation and simulation, Modelsim and VCS do also.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top