Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What max transition value should be set in .18/.13 process?

Status
Not open for further replies.

zyphor

Full Member level 1
Joined
Nov 22, 2003
Messages
97
Helped
4
Reputation
8
Reaction score
3
Trophy points
1,288
Activity points
816
max_transition

Hi
what value should I should set on max_transition in .18 process or .13 process :(
 

Re: max transition

hi,
I think max_transition is set depending on your system setting, not your mind.
 

Re: max transition

would you like to explain it clearly?
I think it is a design rule and must obey the Tsms's rule, certainly I must consider the system clock speed.
 

Re: max transition

hi,
what I mean is the transition time on input is depend on your system but not depend on design rule .
And I think if u have a good lib , for example Artisan library, you will find some transition design rule already exists in ur lib. So u dont have to redefine it.
And if u worry about the result of ur synthesis is not consistent with the result of p&r on max_transtion, u can try physical compiler.
 

Re: max transition

For a non-linear delay model library, the output transition is a function of input transition and your output load. therefore if you define your input transition time and the output load your design is driving, then, there is no need to change_maximum transition which is already defibed in your vendor library(tsmc or ....).
 

Re: max transition

But for internal logic ,the max transition issue still exists. What 's internal
max transition time depends on? I think it is a process related issue.
 

Re: max transition

hi, zyphor
your r correct. the max transition internal is depend on ur tech. but it's already set in ur lib by the vendor. so u can leave it alone. just care for the input transition. that's OK.
 

Re: max transition

Take Samsung's rule as example :
on 0.18um process, max transition is set to 1.2ns
 

If it's a static signal path . It can be ignored .
Once it exsist in some critcal path . It may create the unwanted transition noise . When CMOS logic operate in its transistion , it looks not like a logic circuit anymore . It will act like a analog amplifier depends on the slop of transfer function . So once your power or input signal get small switching(for ex. 100mV) , it will amplify this noise to some scale(for ex. 100mV * 10 = 1V) . Thus make your logic malfunction . So it's better to constraint your max_transistion to a upper bound . The rule is the more short time in this unstable region(transition or amplifier mode) , the more reliable for your logic circuit .
 

max_transition defines the maximum time of logic-0-to-logic-1 as well as logic-1-to-logic-0. although the shorter the more reliable, shorter transition time also means a larger area. so the designer must know his/her system and trade-off.
 

THE TIMING OF DIGITAL CIRCUIT IS TOO NOISESOME
 

1. look into the library , what's the number for it?
Most libraries use look-up-table today, so don't exceed the table.

2. run Signal Intergration tool, the long max_transition time will have SI issues.

As a rule of thumb, don't exceed 1.8ns in 0.18,
1.3 ns in 0.13. :)
 

Re: max transition

please refer to the standard cell lib that be used.

best regards.




zyphor said:
Hi
what value should I should set on max_transition in .18 process or .13 process :(
 

max transition

max_transition is one of the drc rule and is decided by library!!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top