what is "zero time glitch"?

Status
Not open for further replies.

xuxia

Junior Member level 1
Joined
Dec 19, 2002
Messages
19
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
138
zero time glitch

In RTL ,why create "zero-time" glitch? tks
 

perhaps following code can generate "zero_time glitch" what you mean.

initial
begin
a = 1'b0;
a = 1'b1;
end

I think "zero_time glitch" is race condiction.




xuxia said:
In RTL ,why create "zero-time" glitch? tks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…