Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what is "zero time glitch"?

Status
Not open for further replies.

xuxia

Junior Member level 1
Joined
Dec 19, 2002
Messages
19
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
138
zero time glitch

In RTL ,why create "zero-time" glitch? tks
 

perhaps following code can generate "zero_time glitch" what you mean.

initial
begin
a = 1'b0;
a = 1'b1;
end

I think "zero_time glitch" is race condiction.




xuxia said:
In RTL ,why create "zero-time" glitch? tks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top