Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what is the difference between verilogA and verilog AMS?

Status
Not open for further replies.

neoflash

Advanced Member level 1
Joined
Jul 2, 2005
Messages
492
Helped
10
Reputation
20
Reaction score
2
Trophy points
1,298
Activity points
4,759
verilog ams cadence

Which is supported by spectra_verilog and which is supported by eldo_rms?
 

verilog-ams verilog-a

I used the Verilog-A program for the for co-simulation with the Spectre simulator. Generally I use it when I design some program logic along with the analog circuits.

I dont know much about the Verilog-AMS
 

verilog ams modelsim

See .

There are post:
---------------------------------------------------
More Information for AMS simulators:
1. support Spice + Verilog-A
. Cadence: spectre
. Synopsys: NanoSim
. Mentor: eldo
. Nassda: HSIM

2. support Spice + Verilog (digital)
. Cadence: Artist(Analog Design Environment)
Verilog part used: Verilog-XL
Spice part used : Spectre, cdsSpice, ultraSim, hspice, hsim, eldo
. Synopsys: VCS + transistor-level simulator
Verilog part used : VCS
Spice part used : NanoSim, PowerMill, TimeMill
. EverCad: Adit

3. support Spice + Verilog-AMS + Verilog (digital)
. Cadence: AMS Designer
Verilog part: NC-sim
Verilog-AMS part: NC-sim
Spice part: Spectre
. Synopsys: VCS discovery AMS + circuit simulator
Saber
. Mentor: ADVance MS
Verilog part : modelsim
Verilog-AMS part: modelsim
Spice part: Eldo + Mach TA
. Dolphin: Smash
---------------------------------------------
Shortly Verilog-A is subset of Verilog-AMS. See designers-guide.org for details. Regards.
 

verilog ams spectre

verilog a is for modelling analog designs it cant handle digital designs where as verilog ams can be used for modelling digital designs as well
 
  • Like
Reactions: andich

    andich

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top