Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is attribute and allias in VHDL ?

Status
Not open for further replies.
Joined
Sep 3, 2007
Messages
848
Helped
66
Reputation
132
Reaction score
16
Trophy points
1,298
Activity points
0
What is attribute and allias in VHDL.
Please provide an exemple.
Are these instructions recognized by synthesis tool like DC ?
Thanks
 

Re: Attribute and Allias

An attribute gives info about vhdl objects such as signals.
Some of them are synthesizeable such as 'left 'right 'length, while others are not such as 'delayed 'image.
Alias is another name given to a signal for 'readability'. I would think they will be ignored by synthesis tools.
Kr,
Avi
http://www.vlsiip.com[/img]
 

Attribute and Allias

Thanks you Avimit.
Cheers,
Master_Pic_Engineer
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top