beginner_EDA
Full Member level 4
Hi,
I am trying to understand the regular expression as here:
https://www.regular-expressions.info/numericranges.html
and comparing with my critical warning:
[Vivado 12-1387] No valid object(s) found for set_max_delay constraint with option '-to [get_pins -regexp {VOIP/ten_gig_block_kc705/core_reset_dly_reg\[[0-9]\]_srl3__VOIP_ten_gig_block_kc705_core_reset_dly_reg_p_([0-9]|[0-9][0-9])/D}]'.
There is a signal called "core_reset_dly" is defined like this in my program.
signal core_reset_dly : std_logic_vector(7 downto 0);
and as per regular expression(the link above), [0-9] means 0 to 9 and [0-9]|[0-9][0-9]) means either 0 to 9 or 0 to 99.
Then what this critical warning pointing to?
Bitstream is generated succesfully but total negative slack TNS ( -220.412 ns) ans Worst negative Slack WNS -2.358 ns and I assume that this TNS and WNS is due to this critical warning posted avobe.
Any solution?
I am trying to understand the regular expression as here:
https://www.regular-expressions.info/numericranges.html
and comparing with my critical warning:
[Vivado 12-1387] No valid object(s) found for set_max_delay constraint with option '-to [get_pins -regexp {VOIP/ten_gig_block_kc705/core_reset_dly_reg\[[0-9]\]_srl3__VOIP_ten_gig_block_kc705_core_reset_dly_reg_p_([0-9]|[0-9][0-9])/D}]'.
There is a signal called "core_reset_dly" is defined like this in my program.
signal core_reset_dly : std_logic_vector(7 downto 0);
and as per regular expression(the link above), [0-9] means 0 to 9 and [0-9]|[0-9][0-9]) means either 0 to 9 or 0 to 99.
Then what this critical warning pointing to?
Bitstream is generated succesfully but total negative slack TNS ( -220.412 ns) ans Worst negative Slack WNS -2.358 ns and I assume that this TNS and WNS is due to this critical warning posted avobe.
Any solution?
Last edited: