[SOLVED] Virtual Interface resolution cannot find a matching instance for virtual ......

Status
Not open for further replies.

yashnasa80

Newbie level 2
Joined
May 5, 2018
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
9
I have separate folders sim and source_files to keep my simulation files and source files separately. I think I'm having a problem because some virtual interface declared and the hierarchical path for the same is not existing. How do I solve this. There is no compilation error. It is only when I go into simulation.

- - - Updated - - -

The problem was solved, and not related to folders
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…