Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

virtex II xc2v1000 problem - need help

Status
Not open for further replies.

mr_byte31

Full Member level 5
Joined
Oct 19, 2005
Messages
295
Helped
10
Reputation
20
Reaction score
8
Trophy points
1,298
Activity points
3,241
virtex II problem

hi all
i have Virtex-II xc2v1000
i have ISE 7.1,9.1,9.2,10.1 none of them have this kit
i have programs and want to download them on it
i have the cable and kit and no cds with it
can any body help me ???????
 

virtex II problem

I have xilinx ise 8.1i, i can see xc2v1000 in there. Does that answer your question?

go to www.digilentinc.com and see if there is any thing in virtex 2 that might help you.
 

Re: virtex II problem

The Free version of ISE will only work with a small portion of the different Xilinx devices. The paid version works with all of them.

r.b.
 

virtex II problem

i have the foundation one for 60 days and i can't find it :)
 

Re: virtex II problem

If that's an evaluation version then it is also not likely to have the full slate of devices.
I'm at work, and the company's version of ISE 10.1 does have the xc2v1000.

r.b.
 

virtex II problem

I updated the ISE and still have t he problem
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top