Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL verilog mixed simulation and synthesis

Status
Not open for further replies.

casual3_2002

Advanced Member level 4
Joined
Nov 1, 2003
Messages
114
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,298
Activity points
564
Can anyone post some links to the mixed mode VHDL/verilog simulation and synthesis documents? I need to use a VHDL IP in a verilog environment, I'd like to know how to instantiate the VHDL IP in our verilog code for simulation and synthesis. Thanks a lot!
 

Hi casual3_2002,

You can just instantiate the VHDL IP in our verilog code as same as your Verilog

Module, there is no difference in the verilog code which instantiate it. The only

difference is that you should use "read_hdl -format vhdl"(something like this) to read

them into the tool.
 

vhdl instantiation in verilog is same as instantiating verilog modules same port mapping and parameter mapping.

Just check if your simulation and synthesis tool support mixed designs
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top