VHDL simulation in Aldec Active-HDL 8.1

Status
Not open for further replies.

sagar474

Full Member level 5
Joined
Oct 18, 2009
Messages
285
Helped
5
Reputation
10
Reaction score
5
Trophy points
1,318
Location
India,kakinada
Activity points
3,122
I'm new to VHDL simulation software.
and I'm using Aldec Active-HDL 8.1 . but when I'm trying to simulate with wave forms i cant generate waveforms. I cant give any inputs to my design. can any body can tell how to simulite my model with wave forms in Aldec Active HDL 8.1.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…