VHDL code for SR Latch

Status
Not open for further replies.

Thivya

Newbie level 1
Joined
Nov 4, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Fredericton,NB
Activity points
1,285
Hi,

Could anyone please help me to write a code for SR latch in VHDL?

Thank you.
 

Use any entry level digital engineer book and you have the schematic of a SR latch, you can easily translate it to VHDL.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…