Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL code for simple processor with 4-4 registers

Status
Not open for further replies.

teaser

Newbie level 2
Joined
May 5, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,295
hi i have to submit a university assignment and i have very little idea how to work on VHDL. can someone please help me with a code for a simple processor with four 4bit registers an a 16 word memory with 8 bit words. the processor should be able to pick up data from a switch register which you operate manually to set up a bit pattern and display outputs through seven segment display. it can perform all the basic operations, add, subtract, multiply, divide as well as data movement operations. it should use three registers to perform add subtract function and only one to perform the multiplication and division function. please please please help me...ill fail the course if i dont complete the project.

thanks in advance
 

I suggest you start with a VHDL tutorial. How long have you got left?
 

Oh dear. Well, good luck. The chances of you failing are very very high.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top