kk_victory
Newbie level 3
pulse generator vhdl
hi venkat
I have tried ur code but it is showing
so many errors. bcoz i m using xiink ISE 7.1
some functions are not supporting. I m giving
this how I implement in vhdl
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity puls is
Port ( clk : in std_logic;
clk_div : out std_logic);
end puls;
architecture Behavioral of puls is
begin
process
begin
clk <= '1' ;
500000 ns ;
clk <= '0' ;
500000 ns ;
end process ;
end Behavioral;
Synthesis report
* HDL Compilation *
=========================================================================
Compiling vhdl file "C:/rtl/puls.vhd" in Library work.
Entity <puls> compiled.
ERROR:HDLParsers:1402 - "C:/rtl/puls.vhd" Line 38. Object clk of mode IN can not be updated.
ERROR:HDLParsers:164 - "C:/rtl/puls.vhd" Line 39. parse error, unexpected INTEGER_LITERAL
ERROR:HDLParsers:1402 - "C:/rtl/puls.vhd" Line 40. Object clk of mode IN can not be updated.
ERROR:HDLParsers:164 - "C:/rtl/puls.vhd" Line 41. parse error, unexpected INTEGER_LITERAL
WARNING:HDLParsers:1406 - "C:/rtl/puls.vhd" Line 36. No sensitivity list and no wait in the process
-->
Total memory usage is 74824 kilobytes
Number of errors : 4 ( 0 filtered)
Number of warnings : 1 ( 0 filtered)
Number of infos : 0 ( 0 filtered)
hi venkat
I have tried ur code but it is showing
so many errors. bcoz i m using xiink ISE 7.1
some functions are not supporting. I m giving
this how I implement in vhdl
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity puls is
Port ( clk : in std_logic;
clk_div : out std_logic);
end puls;
architecture Behavioral of puls is
begin
process
begin
clk <= '1' ;
500000 ns ;
clk <= '0' ;
500000 ns ;
end process ;
end Behavioral;
Synthesis report
* HDL Compilation *
=========================================================================
Compiling vhdl file "C:/rtl/puls.vhd" in Library work.
Entity <puls> compiled.
ERROR:HDLParsers:1402 - "C:/rtl/puls.vhd" Line 38. Object clk of mode IN can not be updated.
ERROR:HDLParsers:164 - "C:/rtl/puls.vhd" Line 39. parse error, unexpected INTEGER_LITERAL
ERROR:HDLParsers:1402 - "C:/rtl/puls.vhd" Line 40. Object clk of mode IN can not be updated.
ERROR:HDLParsers:164 - "C:/rtl/puls.vhd" Line 41. parse error, unexpected INTEGER_LITERAL
WARNING:HDLParsers:1406 - "C:/rtl/puls.vhd" Line 36. No sensitivity list and no wait in the process
-->
Total memory usage is 74824 kilobytes
Number of errors : 4 ( 0 filtered)
Number of warnings : 1 ( 0 filtered)
Number of infos : 0 ( 0 filtered)