vhdl code and Xilinx SRAM-based FPGA

Status
Not open for further replies.

lahrach

Full Member level 3
Joined
Feb 6, 2009
Messages
170
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,285
hi friends,

----------------------------------- the example------------------------

memory_enable_lut: LUT4
generic map (INIT => X"8000")
port map( I0 => t_state,
I1 => instruction(13),
I2 => instruction(14),
I3 => memory_write,
O => memory_enable );
-----------------------------------------------------------------------


in this example is LUT4 is known for ISE as a component without defining it, or should I define it


regards :-D
 

Check the examples in the attached link, there are examples how to use it



Alex
 
thank you alexan_e
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…