vvsvv
Full Member level 1
rising_edge vhdl
may I use both rising_edge(clk) and falling_edge(clk) in just one process?
or in one architecture? :wink: thx;
source code may just like this:
use ieee.std_logic_1164.all;
......
clk: std_logic;
......
if rising_edge(clk) then
out_a<='1';
elsif falling_edge(clk) then
out_b<='1' ;
end if;
.......
May I do so?
If I may , can these codes be synthesis?
thanks again! :roll:
may I use both rising_edge(clk) and falling_edge(clk) in just one process?
or in one architecture? :wink: thx;
source code may just like this:
use ieee.std_logic_1164.all;
......
clk: std_logic;
......
if rising_edge(clk) then
out_a<='1';
elsif falling_edge(clk) then
out_b<='1' ;
end if;
.......
May I do so?
If I may , can these codes be synthesis?
thanks again! :roll: